Microsoft AGB-00001 Spécifications Page 67

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 144
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 66
How to Control the Implementation of VHDL
VHDL Reference Manual 4-9
entity counter1 is
port (clock: in Boolean;
count: inout integer range 0 to 7;
end counter1;
architecture pin_feedback of counter1 is
begin
process (clock)
begin
if clock and clock'event then
if count = 7 then
count <= 0;
else
count <= count + 1;
end if;
end if;
end process;
end pin_feedback;
Note: Mode inout should only be used to describe true directional
ports—those that have an output enable function associated with
them. Using inout to describe signals that are simply fed back to
create circuits such as counters is not recommended.
Vue de la page 66
1 2 ... 62 63 64 65 66 67 68 69 70 71 72 ... 143 144

Commentaires sur ces manuels

Pas de commentaire