Microsoft AGB-00001 Spécifications Page 44

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 144
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 43
How to Write Synthesizable VHDL
3-18 VHDL Reference Manual
process(clk)
begin
if rising_edge(clk) then
y <= a;
end if;
end process;
In all these cases, the number of registers or the width of the mux are
determined by the type of the signal y.
Wait Statement
The second method uses a wait statement within the process:
process
wait until expression;
.
.
.
end process;
This statement suspends evaluation (over time) until an event occurs,
and the expression evaluates to true. When a wait statement is used
in a process, no process sensitivity list is required (or allowed). A flip-
flip may be described as:
process
wait until clk'event and clk='1'
y <= a;
end process;
A constraint of the VHDL synthesizer is that wait statements must be
located at either the beginning or end of a process, and there may not
be more than one wait statement in a process.
Note: Wait statements are not recommended for use in synthesizable
designs. If-then conditional statements are a more universally
accepted method of describing registered logic.
Vue de la page 43
1 2 ... 39 40 41 42 43 44 45 46 47 48 49 ... 143 144

Commentaires sur ces manuels

Pas de commentaire