Microsoft AGB-00001 Spécifications Page 98

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 144
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 97
How to Manage VHDL Design Hierarchies
6-8 VHDL Reference Manual
Using Design Libraries
In most VHDL simulation environments, design libraries are areas in
which pre-compiled (analyzed) design units are stored. An good
example of such a library is the IEEE library, which is pre-compiled into
a library accessible to the VHDL simulator. The form that the pre-
compiled library takes is dependent upon the simulator being used,
and compiled libraries are generally not compatible between different
simulator programs.
The VHDL synthesizer, on the other hand, implements libraries as
source files that are read in and synthesized as they are encountered
in a higher-level VHDL source file (as library statements). This means
that a library such as the IEEE library must exist as a VHDL source file,
and must be accessible to the VHDL synthesizer during the compilation
process. The VHDL source code for the IEEE library, for example, is
provided in the file ieee.vhd.
Note: The VHDL implicit library std does not have to be referenced in
a library or use statement. The library std is contained in the file
std.vhd.
Library Search Paths
When the VHDL synthesizer encounters a library statement, it
attempts to find a corresponding VHDL source file (one with a name
matching the referenced library name) in the current directory (the
project directory). If a VHDL source file with the appropriate name is
not found in the current directory on your system, the VHDL
synthesizer will attempt to find the referenced VHDL source file in the
library area (normally ./lib5/) within the Project Navigator installation
directory.
If you create library files that will be shared between multiple projects,
you may want to place those files in the library area, rather than make
local copies in each project directory.
The Work Library
The differences in library implementations between most simulation
environments and the VHDL synthesizer does not normally make any
difference; library files are read in by the synthesizer as needed, and
the library and use statements function normally. The only exception
is in the treatment of the default library, work.
Vue de la page 97
1 2 ... 93 94 95 96 97 98 99 100 101 102 103 ... 143 144

Commentaires sur ces manuels

Pas de commentaire